CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 倍频 verilog

搜索资源列表

  1. qep_data_bus

    0下载:
  2. 基于地址总线接口的四倍频编码器信号接口的 FPGA实现 Verilog HDL的-address bus interface based on the four frequency signal encoder interface FPGA Verilog HDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1188355
    • 提供者:孙卓君
  1. twice_freqencey

    0下载:
  2. 用Verilog直接完成倍频的算法,经过了quartus8.0的时序仿真-Verilog multiplier used directly to complete the algorithm, as a result of timing simulation quartus8.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:231982
    • 提供者:nikui
  1. beipin_top

    1下载:
  2. 次代码利用verilog HDL来描述的,可以实现2倍频功能,只是频率有一点误差。-Times verilog HDL code to describe the use of, 2 octave function can be achieved, but the frequency of a bit error.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:101346
    • 提供者:刘三平
  1. dds

    1下载:
  2. 基于FPGA的DDS设计,本程序采用verilog HDL语言编写,使用DDS+Pll倍频-The DDS-based FPGA design, the procedures used verilog HDL language, the use of DDS+ Pll frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:190930
    • 提供者:赵一
  1. up_buhuo

    3下载:
  2. 这是一个VERILOG接收端捕获模块,扩频码32倍,可以检测到相关峰-This is a VERILOG receiver capture module ,the spreader is 32,it can test the correlation peak
  3. 所属分类:通讯/手机编程

    • 发布日期:2014-01-06
    • 文件大小:2286
    • 提供者:陈丽君
  1. DCM

    0下载:
  2. xilinx SP605开发板的DCM模块验证程序,coreGen工具生成DCM核,由DCM完成时钟分频、倍频、移相等操作-xilinx SP605 development board DCM module validation program, coreGen tool to generate nuclear DCM, completed by the DCM clock divider, frequency, and shift operations equal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:2599936
    • 提供者:wangyu
  1. n_evendivider

    0下载:
  2. 标签: Verilog 分频器 N倍奇数分频器.(Verilog) N_odd_divider.v / Verilog module N_odd_divider (-Labels: Verilog divider divider N odd times. (Verilog) N_odd_divider.v/Verilog module N_odd_divider (
  3. 所属分类:File Formats

    • 发布日期:2017-04-25
    • 文件大小:213103
    • 提供者:王媛媛
  1. arm_moni

    0下载:
  2. verilog 程序,用于通讯系统测试,输入40MHz时钟,40倍分频之后,输出1Mhz时钟-verilog procedures for communication system testing, 40MHz input clock frequency to 40 times, the output clock 1Mhz
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-02
    • 文件大小:94834
    • 提供者:sss
  1. verilogfenpinqi

    0下载:
  2. verilog分频器代码 分为偶数倍分频和奇数倍分频两个verilog源文件 附带一个说明文档-divider verilog code for multiple sub-divided into even and odd frequency divider several times with a two verilog source files documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1886
    • 提供者:王楚宏
  1. DDCFPGA

    1下载:
  2. 针对DVB-T标准ETSI EN 300 744 V1.5.1,设计了可用于DVB-T接收整机的多速率DDC模块,并在FPGA中仿真实现.在复用数字振荡混频模块的基础上,根据输入信号的不同带宽(6M/8MHz)选择不同的抽取滤波器组完成抽取因子为3或4的多速率处理任务,利用两级半带滤波器(HBF)级联完成4倍抽取滤波,单级奈奎斯特滤波器完成3倍抽取滤波.-For the DVB-T standard ETSI EN 300 744 V1.5.1, designed for DVB-T recei
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:309237
    • 提供者:王楚宏
  1. sanfenpin

    0下载:
  2. verilog 三分频 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如altera 的PLL,Xilinx的DLL.来进行时钟的分频,倍频以及相移。-verilog-third of the frequency divider is a FPGA design, very high frequency of use, one of the basic design, although most of the designs in
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:779
    • 提供者:杨化冰
  1. FPGAdeguangshanjiancejishu

    0下载:
  2. 本文档设计了1光栅位移传感器信号的接收、光栅位移传感器信号的整形及电平转换电路设计,用Verilog HDL描述了锁相倍频细分和零位信号处理电路。利用FPGA实现光栅位移系统与上位机接口的电路原理框图-This document designed a grating displacement sensor signal reception, grating displacement sensor signal shaping and level conversion circuit design
  3. 所属分类:Project Design

    • 发布日期:2017-05-03
    • 文件大小:1329029
    • 提供者:于小微
  1. hdlc_7960

    0下载:
  2. 基于Verilog的7960实现。主要实现曼彻斯特的编解码。采用的倍频采样的方法。-Based on the 7960 Verilog implementation. Main achieved Manchester encoding and decoding. Frequency sampling method used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:702711
    • 提供者:栾帅
  1. Small-multiplier

    0下载:
  2. 小型倍频器,简单的介绍了如何用verilog写倍频电路》-Small multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1322908
    • 提供者:zhang
  1. division-verilog

    0下载:
  2. 文章详解介绍了用Verilog HDL语言编写任意倍偶数分频和奇数分频的原理以及源程序,都通过仿真,结果完全正确。-The article introduced with sep Verilog HDL language writing any times frequency and the odd points even points of the principle and the frequency source program, through the simulation, the r
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6070
    • 提供者:范先龙
  1. verilog--divide-programs

    0下载:
  2. verilog任意分频程序,包括奇数倍分频和偶数倍分频,占空比为50 ,QuartusII上验证程序有效-verilog every divide programs, including an odd multiple divider and even multiple frequency, duty cycle 50 , the QuartusII on the verification process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:578123
    • 提供者:ni husheng
  1. decimal_test

    0下载:
  2. 用verilog编写的二倍频抽取程序,并包含了一个test文件,方便大家测试用。-The second harmonic extracting written in verilog
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:163778
    • 提供者:nn
  1. verilog-pll

    1下载:
  2. 用verilog写的倍频电路 文件中介绍DP-The multiplier circuit file by verilog introduced DPLL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:1322834
    • 提供者:loadziliao
  1. verilog

    0下载:
  2. 本文档设计了1光栅位移传感器信号的接收、光栅位移传感器信号的整形及电平转换电路设计,用Verilog HDL描述了锁相倍频细分和零位信号处理电路。利用FPGA实现光栅位移系统与上位机接口的电路原理框图-This document designed a grating displacement sensor signal reception, grating displacement sensor signal shaping and level conversion circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:108298
    • 提供者:吴汉
  1. jingxiang_beipin

    0下载:
  2. 实现编码器鉴向和4倍频,可用于电机测速等。(To achieve encoder and 4 times the frequency, can be used for motor speed and so on.)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 »
搜珍网 www.dssz.com